Blame SOURCES/0004-cosmetic-clean-up.patch

e67e1d
From aea375aeb4f58a35afd1ec2998a04060bc8fccfa Mon Sep 17 00:00:00 2001
e67e1d
From: Lyonel Vincent <lyonel@ezix.org>
e67e1d
Date: Tue, 31 Mar 2020 23:27:36 +0200
e67e1d
Subject: [PATCH 04/17] cosmetic clean-up
e67e1d
e67e1d
---
e67e1d
 src/core/mmc.cc | 4 ++--
e67e1d
 1 file changed, 2 insertions(+), 2 deletions(-)
e67e1d
e67e1d
diff --git a/src/core/mmc.cc b/src/core/mmc.cc
e67e1d
index 96c2c49e4597..1385e116c7ae 100644
e67e1d
--- a/src/core/mmc.cc
e67e1d
+++ b/src/core/mmc.cc
e67e1d
@@ -327,8 +327,8 @@ bool scan_mmc(hwNode & n)
e67e1d
     device->setDescription("MMC Host");
e67e1d
     device->setModalias(e.modalias());
e67e1d
 
e67e1d
-    vector < sysfs::entry > namespaces = e.devices();
e67e1d
-    for(vector < sysfs::entry >::iterator i = namespaces.begin(); i != namespaces.end(); ++i)
e67e1d
+    vector < sysfs::entry > devices = e.devices();
e67e1d
+    for(vector < sysfs::entry >::iterator i = devices.begin(); i != devices.end(); ++i)
e67e1d
     {
e67e1d
       const sysfs::entry & d = *i;
e67e1d
 
e67e1d
-- 
e67e1d
2.17.1
e67e1d