b6f14e
commit 921e6f419867ae0ec15b6b5182f194a8229e7941
b6f14e
Author: Siddhesh Poyarekar <siddhesh@sourceware.org>
b6f14e
Date:   Fri Feb 5 09:52:52 2021 +0530
b6f14e
b6f14e
    printf: Add smoke tests for long double
b6f14e
    
b6f14e
    The printf tests have no coverage for long double.  Duplicate the
b6f14e
    double tests so that we have some basic coverage.
b6f14e
    
b6f14e
    Reviewed-by: Carlos O'Donell <carlos@redhat.com>
b6f14e
b6f14e
diff --git a/stdio-common/tst-printf.c b/stdio-common/tst-printf.c
b6f14e
index dbc8019ca52712d5..c1faf325398f83a7 100644
b6f14e
--- a/stdio-common/tst-printf.c
b6f14e
+++ b/stdio-common/tst-printf.c
b6f14e
@@ -176,21 +176,39 @@ I am ready for my first lesson today.";
b6f14e
   printf("e-style < .1:\t\"%e\"\n", 0.001234);
b6f14e
   printf("e-style big:\t\"%.60e\"\n", 1e20);
b6f14e
   printf ("e-style == .1:\t\"%e\"\n", 0.1);
b6f14e
+  printf("f-style == 0:\t\"%f\"\n", 0.0);
b6f14e
   printf("f-style >= 1:\t\"%f\"\n", 12.34);
b6f14e
   printf("f-style >= .1:\t\"%f\"\n", 0.1234);
b6f14e
   printf("f-style < .1:\t\"%f\"\n", 0.001234);
b6f14e
+  printf("g-style == 0:\t\"%g\"\n", 0.0);
b6f14e
   printf("g-style >= 1:\t\"%g\"\n", 12.34);
b6f14e
   printf("g-style >= .1:\t\"%g\"\n", 0.1234);
b6f14e
   printf("g-style < .1:\t\"%g\"\n", 0.001234);
b6f14e
   printf("g-style big:\t\"%.60g\"\n", 1e20);
b6f14e
 
b6f14e
+  printf("Lf-style == 0:\t\"%Lf\"\n", (long double) 0.0);
b6f14e
+  printf("Lf-style >= 1:\t\"%Lf\"\n", (long double) 12.34);
b6f14e
+  printf("Lf-style >= .1:\t\"%Lf\"\n", (long double) 0.1234);
b6f14e
+  printf("Lf-style < .1:\t\"%Lf\"\n", (long double) 0.001234);
b6f14e
+  printf("Lg-style == 0:\t\"%Lg\"\n", (long double) 0.0);
b6f14e
+  printf("Lg-style >= 1:\t\"%Lg\"\n", (long double) 12.34);
b6f14e
+  printf("Lg-style >= .1:\t\"%Lg\"\n", (long double) 0.1234);
b6f14e
+  printf("Lg-style < .1:\t\"%Lg\"\n", (long double) 0.001234);
b6f14e
+  printf("Lg-style big:\t\"%.60Lg\"\n", (long double) 1e20);
b6f14e
+
b6f14e
   printf (" %6.5f\n", .099999999860301614);
b6f14e
   printf (" %6.5f\n", .1);
b6f14e
   printf ("x%5.4fx\n", .5);
b6f14e
 
b6f14e
+  printf (" %6.5Lf\n", (long double) .099999999860301614);
b6f14e
+  printf (" %6.5Lf\n", (long double) .1);
b6f14e
+  printf ("x%5.4Lfx\n", (long double) .5);
b6f14e
+
b6f14e
   printf ("%#03x\n", 1);
b6f14e
 
b6f14e
   printf ("something really insane: %.10000f\n", 1.0);
b6f14e
+  printf ("something really insane (long double): %.10000Lf\n",
b6f14e
+	  (long double) 1.0);
b6f14e
 
b6f14e
   {
b6f14e
     double d = FLT_MIN;
b6f14e
@@ -203,16 +221,25 @@ I am ready for my first lesson today.";
b6f14e
 
b6f14e
   printf ("%15.5e\n", 4.9406564584124654e-324);
b6f14e
 
b6f14e
-#define FORMAT "|%12.4f|%12.4e|%12.4g|\n"
b6f14e
-  printf (FORMAT, 0.0, 0.0, 0.0);
b6f14e
-  printf (FORMAT, 1.0, 1.0, 1.0);
b6f14e
-  printf (FORMAT, -1.0, -1.0, -1.0);
b6f14e
-  printf (FORMAT, 100.0, 100.0, 100.0);
b6f14e
-  printf (FORMAT, 1000.0, 1000.0, 1000.0);
b6f14e
-  printf (FORMAT, 10000.0, 10000.0, 10000.0);
b6f14e
-  printf (FORMAT, 12345.0, 12345.0, 12345.0);
b6f14e
-  printf (FORMAT, 100000.0, 100000.0, 100000.0);
b6f14e
-  printf (FORMAT, 123456.0, 123456.0, 123456.0);
b6f14e
+#define FORMAT "|%12.4f|%12.4e|%12.4g|%12.4Lf|%12.4Lg|\n"
b6f14e
+  printf (FORMAT, 0.0, 0.0, 0.0,
b6f14e
+	  (long double) 0.0, (long double) 0.0);
b6f14e
+  printf (FORMAT, 1.0, 1.0, 1.0,
b6f14e
+	  (long double) 1.0, (long double) 1.0);
b6f14e
+  printf (FORMAT, -1.0, -1.0, -1.0,
b6f14e
+	  (long double) -1.0, (long double) -1.0);
b6f14e
+  printf (FORMAT, 100.0, 100.0, 100.0,
b6f14e
+	  (long double) 100.0, (long double) 100.0);
b6f14e
+  printf (FORMAT, 1000.0, 1000.0, 1000.0,
b6f14e
+	  (long double) 1000.0, (long double) 1000.0);
b6f14e
+  printf (FORMAT, 10000.0, 10000.0, 10000.0,
b6f14e
+	  (long double) 10000.0, (long double) 10000.0);
b6f14e
+  printf (FORMAT, 12345.0, 12345.0, 12345.0,
b6f14e
+	  (long double) 12345.0, (long double) 12345.0);
b6f14e
+  printf (FORMAT, 100000.0, 100000.0, 100000.0,
b6f14e
+	  (long double) 100000.0, (long double) 100000.0);
b6f14e
+  printf (FORMAT, 123456.0, 123456.0, 123456.0,
b6f14e
+	  (long double) 123456.0, (long double) 123456.0);
b6f14e
 #undef	FORMAT
b6f14e
 
b6f14e
   {
b6f14e
@@ -318,6 +345,9 @@ rfg1 (void)
b6f14e
   if (strcmp (buf, "     ") != 0)
b6f14e
     printf ("got: '%s', expected: '%s'\n", buf, "     ");
b6f14e
   sprintf (buf, "%5.f", 33.3);
b6f14e
+  if (strcmp (buf, "   33") != 0)
b6f14e
+    printf ("got: '%s', expected: '%s'\n", buf, "   33");
b6f14e
+  sprintf (buf, "%5.Lf", (long double) 33.3);
b6f14e
   if (strcmp (buf, "   33") != 0)
b6f14e
     printf ("got: '%s', expected: '%s'\n", buf, "   33");
b6f14e
   sprintf (buf, "%8.e", 33.3e7);
b6f14e
@@ -327,6 +357,9 @@ rfg1 (void)
b6f14e
   if (strcmp (buf, "   3E+08") != 0)
b6f14e
     printf ("got: '%s', expected: '%s'\n", buf, "   3E+08");
b6f14e
   sprintf (buf, "%.g", 33.3);
b6f14e
+  if (strcmp (buf, "3e+01") != 0)
b6f14e
+    printf ("got: '%s', expected: '%s'\n", buf, "3e+01");
b6f14e
+  sprintf (buf, "%.Lg", (long double) 33.3);
b6f14e
   if (strcmp (buf, "3e+01") != 0)
b6f14e
     printf ("got: '%s', expected: '%s'\n", buf, "3e+01");
b6f14e
   sprintf (buf, "%.G", 33.3);
b6f14e
@@ -350,6 +383,18 @@ rfg2 (void)
b6f14e
     printf ("got: '%s', expected: '%s'\n", buf, "3");
b6f14e
   prec = 0;
b6f14e
   sprintf (buf, "%7.*G", prec, 3.33);
b6f14e
+  if (strcmp (buf, "      3") != 0)
b6f14e
+    printf ("got: '%s', expected: '%s'\n", buf, "      3");
b6f14e
+  prec = 0;
b6f14e
+  sprintf (buf, "%.*Lg", prec, (long double) 3.3);
b6f14e
+  if (strcmp (buf, "3") != 0)
b6f14e
+    printf ("got: '%s', expected: '%s'\n", buf, "3");
b6f14e
+  prec = 0;
b6f14e
+  sprintf (buf, "%.*LG", prec, (long double) 3.3);
b6f14e
+  if (strcmp (buf, "3") != 0)
b6f14e
+    printf ("got: '%s', expected: '%s'\n", buf, "3");
b6f14e
+  prec = 0;
b6f14e
+  sprintf (buf, "%7.*LG", prec, (long double) 3.33);
b6f14e
   if (strcmp (buf, "      3") != 0)
b6f14e
     printf ("got: '%s', expected: '%s'\n", buf, "      3");
b6f14e
   prec = 3;
b6f14e
diff --git a/stdio-common/tst-printf.sh b/stdio-common/tst-printf.sh
b6f14e
index d39b55179b59ddd3..57aec6c48c76b705 100644
b6f14e
--- a/stdio-common/tst-printf.sh
b6f14e
+++ b/stdio-common/tst-printf.sh
b6f14e
@@ -63,18 +63,33 @@ e-style >= .1:	"1.234000e-01"
b6f14e
 e-style < .1:	"1.234000e-03"
b6f14e
 e-style big:	"1.000000000000000000000000000000000000000000000000000000000000e+20"
b6f14e
 e-style == .1:	"1.000000e-01"
b6f14e
+f-style == 0:	"0.000000"
b6f14e
 f-style >= 1:	"12.340000"
b6f14e
 f-style >= .1:	"0.123400"
b6f14e
 f-style < .1:	"0.001234"
b6f14e
+g-style == 0:	"0"
b6f14e
 g-style >= 1:	"12.34"
b6f14e
 g-style >= .1:	"0.1234"
b6f14e
 g-style < .1:	"0.001234"
b6f14e
 g-style big:	"100000000000000000000"
b6f14e
+Lf-style == 0:	"0.000000"
b6f14e
+Lf-style >= 1:	"12.340000"
b6f14e
+Lf-style >= .1:	"0.123400"
b6f14e
+Lf-style < .1:	"0.001234"
b6f14e
+Lg-style == 0:	"0"
b6f14e
+Lg-style >= 1:	"12.34"
b6f14e
+Lg-style >= .1:	"0.1234"
b6f14e
+Lg-style < .1:	"0.001234"
b6f14e
+Lg-style big:	"100000000000000000000"
b6f14e
+ 0.10000
b6f14e
+ 0.10000
b6f14e
+x0.5000x
b6f14e
  0.10000
b6f14e
  0.10000
b6f14e
 x0.5000x
b6f14e
 0x1
b6f14e
 something really insane: 1.0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
b6f14e
+something really insane (long double): 1.0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
b6f14e
 5.87747175411143754e-39
b6f14e
 5.87747175411143754e-39
b6f14e
 5.87747175411143754e-39
b6f14e
@@ -93,15 +108,15 @@ something really insane: 1.00000000000000000000000000000000000000000000000000000
b6f14e
 5.87747175411143754e-39
b6f14e
 5.87747175411143754e-39
b6f14e
    4.94066e-324
b6f14e
-|      0.0000|  0.0000e+00|           0|
b6f14e
-|      1.0000|  1.0000e+00|           1|
b6f14e
-|     -1.0000| -1.0000e+00|          -1|
b6f14e
-|    100.0000|  1.0000e+02|         100|
b6f14e
-|   1000.0000|  1.0000e+03|        1000|
b6f14e
-|  10000.0000|  1.0000e+04|       1e+04|
b6f14e
-|  12345.0000|  1.2345e+04|   1.234e+04|
b6f14e
-| 100000.0000|  1.0000e+05|       1e+05|
b6f14e
-| 123456.0000|  1.2346e+05|   1.235e+05|
b6f14e
+|      0.0000|  0.0000e+00|           0|      0.0000|           0|
b6f14e
+|      1.0000|  1.0000e+00|           1|      1.0000|           1|
b6f14e
+|     -1.0000| -1.0000e+00|          -1|     -1.0000|          -1|
b6f14e
+|    100.0000|  1.0000e+02|         100|    100.0000|         100|
b6f14e
+|   1000.0000|  1.0000e+03|        1000|   1000.0000|        1000|
b6f14e
+|  10000.0000|  1.0000e+04|       1e+04|  10000.0000|       1e+04|
b6f14e
+|  12345.0000|  1.2345e+04|   1.234e+04|  12345.0000|   1.234e+04|
b6f14e
+| 100000.0000|  1.0000e+05|       1e+05| 100000.0000|       1e+05|
b6f14e
+| 123456.0000|  1.2346e+05|   1.235e+05| 123456.0000|   1.235e+05|
b6f14e
 snprintf ("%30s", "foo") == 30, "                   "
b6f14e
 snprintf ("%.999999u", 10) == 999999
b6f14e
 
b6f14e
@@ -179,18 +194,33 @@ e-style >= .1:	"1.234000e-01"
b6f14e
 e-style < .1:	"1.234000e-03"
b6f14e
 e-style big:	"1.000000000000000000000000000000000000000000000000000000000000e+20"
b6f14e
 e-style == .1:	"1.000000e-01"
b6f14e
+f-style == 0:	"0.000000"
b6f14e
 f-style >= 1:	"12.340000"
b6f14e
 f-style >= .1:	"0.123400"
b6f14e
 f-style < .1:	"0.001234"
b6f14e
+g-style == 0:	"0"
b6f14e
 g-style >= 1:	"12.34"
b6f14e
 g-style >= .1:	"0.1234"
b6f14e
 g-style < .1:	"0.001234"
b6f14e
 g-style big:	"100000000000000000000"
b6f14e
+Lf-style == 0:	"0.000000"
b6f14e
+Lf-style >= 1:	"12.340000"
b6f14e
+Lf-style >= .1:	"0.123400"
b6f14e
+Lf-style < .1:	"0.001234"
b6f14e
+Lg-style == 0:	"0"
b6f14e
+Lg-style >= 1:	"12.34"
b6f14e
+Lg-style >= .1:	"0.1234"
b6f14e
+Lg-style < .1:	"0.001234"
b6f14e
+Lg-style big:	"100000000000000000000"
b6f14e
+ 0.10000
b6f14e
+ 0.10000
b6f14e
+x0.5000x
b6f14e
  0.10000
b6f14e
  0.10000
b6f14e
 x0.5000x
b6f14e
 0x1
b6f14e
 something really insane: 1.0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
b6f14e
+something really insane (long double): 1.0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
b6f14e
 5.87747175411143754e-39
b6f14e
 5.87747175411143754e-39
b6f14e
 5.87747175411143754e-39
b6f14e
@@ -209,15 +239,15 @@ something really insane: 1.00000000000000000000000000000000000000000000000000000
b6f14e
 5.87747175411143754e-39
b6f14e
 5.87747175411143754e-39
b6f14e
    4.94066e-324
b6f14e
-|      0.0000|  0.0000e+00|           0|
b6f14e
-|      1.0000|  1.0000e+00|           1|
b6f14e
-|     -1.0000| -1.0000e+00|          -1|
b6f14e
-|    100.0000|  1.0000e+02|         100|
b6f14e
-|   1000.0000|  1.0000e+03|        1000|
b6f14e
-|  10000.0000|  1.0000e+04|       1e+04|
b6f14e
-|  12345.0000|  1.2345e+04|   1.234e+04|
b6f14e
-| 100000.0000|  1.0000e+05|       1e+05|
b6f14e
-| 123456.0000|  1.2346e+05|   1.235e+05|
b6f14e
+|      0.0000|  0.0000e+00|           0|      0.0000|           0|
b6f14e
+|      1.0000|  1.0000e+00|           1|      1.0000|           1|
b6f14e
+|     -1.0000| -1.0000e+00|          -1|     -1.0000|          -1|
b6f14e
+|    100.0000|  1.0000e+02|         100|    100.0000|         100|
b6f14e
+|   1000.0000|  1.0000e+03|        1000|   1000.0000|        1000|
b6f14e
+|  10000.0000|  1.0000e+04|       1e+04|  10000.0000|       1e+04|
b6f14e
+|  12345.0000|  1.2345e+04|   1.234e+04|  12345.0000|   1.234e+04|
b6f14e
+| 100000.0000|  1.0000e+05|       1e+05| 100000.0000|       1e+05|
b6f14e
+| 123456.0000|  1.2346e+05|   1.235e+05| 123456.0000|   1.235e+05|
b6f14e
 snprintf ("%30s", "foo") == 30, "                   "
b6f14e
 snprintf ("%.999999u", 10) == 999999
b6f14e